preliminary_combine